The University of Southampton
University of Southampton Institutional Repository

Testability Trade-offs for BIST RTL Data Paths: The Case for Three Dimensional Design Space

Testability Trade-offs for BIST RTL Data Paths: The Case for Three Dimensional Design Space
Testability Trade-offs for BIST RTL Data Paths: The Case for Three Dimensional Design Space
This paper focuses on BIST for RTL data paths and discusses testability trade-offs in terms of test application time, BIST area head, and power dissipation. Using a complex validation flow and experimental data for over 30,000 testable data paths, it is shown how test application time decreases asymtotically when increasing power constraints. Further, it is experimentally demonstrated why power conscious test synthesis and test scheduling algorithms are required due to large variations in useless power dissipation as test application time decreases. Finally, while previous research has outlined that test application time decreases as BIST area overhead increases, this paper shows that in order to reach high quality solutions in terms of test application time and BIST area overhead under given power constraints, a three dimensional design space needs to be explored.
IEEE
Nicolici, N
7cb1f38a-3d14-43fb-9b79-aa0f4d106372
Al-Hashimi, B M
0b29c671-a6d2-459c-af68-c4614dce3b5d
Nicolici, N
7cb1f38a-3d14-43fb-9b79-aa0f4d106372
Al-Hashimi, B M
0b29c671-a6d2-459c-af68-c4614dce3b5d

Nicolici, N and Al-Hashimi, B M (2001) Testability Trade-offs for BIST RTL Data Paths: The Case for Three Dimensional Design Space.

Record type: Other

Abstract

This paper focuses on BIST for RTL data paths and discusses testability trade-offs in terms of test application time, BIST area head, and power dissipation. Using a complex validation flow and experimental data for over 30,000 testable data paths, it is shown how test application time decreases asymtotically when increasing power constraints. Further, it is experimentally demonstrated why power conscious test synthesis and test scheduling algorithms are required due to large variations in useless power dissipation as test application time decreases. Finally, while previous research has outlined that test application time decreases as BIST area overhead increases, this paper shows that in order to reach high quality solutions in terms of test application time and BIST area overhead under given power constraints, a three dimensional design space needs to be explored.

This record has no associated files available for download.

More information

Published date: March 2001
Additional Information: Organisation: IEEE/ACM
Organisations: Electronic & Software Systems

Identifiers

Local EPrints ID: 254189
URI: http://eprints.soton.ac.uk/id/eprint/254189
PURE UUID: 1a01ea65-984a-472f-b399-2dee4f2dfa00

Catalogue record

Date deposited: 24 Nov 2000
Last modified: 01 Mar 2024 17:53

Export record

Contributors

Author: N Nicolici
Author: B M Al-Hashimi

Download statistics

Downloads from ePrints over the past year. Other digital versions may also be available to download e.g. from the publisher's website.

View more statistics

Atom RSS 1.0 RSS 2.0

Contact ePrints Soton: eprints@soton.ac.uk

ePrints Soton supports OAI 2.0 with a base URL of http://eprints.soton.ac.uk/cgi/oai2

This repository has been built using EPrints software, developed at the University of Southampton, but available to everyone to use.

We use cookies to ensure that we give you the best experience on our website. If you continue without changing your settings, we will assume that you are happy to receive cookies on the University of Southampton website.

×