The University of Southampton
University of Southampton Institutional Repository

Empirical CPU power modelling and estimation in the gem5 simulator

Empirical CPU power modelling and estimation in the gem5 simulator
Empirical CPU power modelling and estimation in the gem5 simulator
Power modelling is important for modern CPUs to inform power management approaches and allow design space exploration. Power simulators, combined with a full-system architectural simulator such as gem5, enable power-performance trade-offs to be investigated early in the design of a system with different configurations (e.g number of cores, cache size, etc.). However, the accuracy of existing power simulators, such as McPAT, is known to be low due to the abstraction and specification errors, and this can lead to incorrect research conclusions. In this paper, we present an accurate power model, built from measured data, integrated into gem5 for estimating the power consumption of a simulated quad-core ARM Cortex-A15. A power modelling methodology based on Performance Monitoring Counters (PMCs) is used to build and evaluate the integrated model in gem5. We first validate this methodology on the real hardware with 60 workloads at nine Dynamic Voltage and Frequency Scaling (DVFS) levels and four core mappings (2,160 samples), showing an average error between estimated and real measured power of less than 6%. Correlation between gem5 activity statistics and hardware PMCs is investigated to build a gem5 model representing a quad-core ARM Cortex-A15. Experimental validation with 15 workloads at four DVFS levels on real hardware and gem5 has been conducted to understand how the difference between the gem5 simulated activity statistics and the hardware PMCs affects the estimated power consumption.
1-8
IEEE
Basireddy, Karunakar Reddy
5bfb0b2e-8242-499a-a52b-e813d9a90889
Walker, Matthew
77e58c74-1541-4ffc-9219-4c8c11248a2e
Balsamo, Domenico
fa2dc20a-e3da-4d74-9070-9c61c6a471ba
Diestelhorst, Stephan
5ac0a14f-5a42-4e09-a173-399b97170272
Al-Hashimi, Bashir
0b29c671-a6d2-459c-af68-c4614dce3b5d
Merrett, Geoffrey
89b3a696-41de-44c3-89aa-b0aa29f54020
Basireddy, Karunakar Reddy
5bfb0b2e-8242-499a-a52b-e813d9a90889
Walker, Matthew
77e58c74-1541-4ffc-9219-4c8c11248a2e
Balsamo, Domenico
fa2dc20a-e3da-4d74-9070-9c61c6a471ba
Diestelhorst, Stephan
5ac0a14f-5a42-4e09-a173-399b97170272
Al-Hashimi, Bashir
0b29c671-a6d2-459c-af68-c4614dce3b5d
Merrett, Geoffrey
89b3a696-41de-44c3-89aa-b0aa29f54020

Basireddy, Karunakar Reddy, Walker, Matthew, Balsamo, Domenico, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Empirical CPU power modelling and estimation in the gem5 simulator. In 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE. pp. 1-8 . (doi:10.1109/PATMOS.2017.8106988).

Record type: Conference or Workshop Item (Paper)

Abstract

Power modelling is important for modern CPUs to inform power management approaches and allow design space exploration. Power simulators, combined with a full-system architectural simulator such as gem5, enable power-performance trade-offs to be investigated early in the design of a system with different configurations (e.g number of cores, cache size, etc.). However, the accuracy of existing power simulators, such as McPAT, is known to be low due to the abstraction and specification errors, and this can lead to incorrect research conclusions. In this paper, we present an accurate power model, built from measured data, integrated into gem5 for estimating the power consumption of a simulated quad-core ARM Cortex-A15. A power modelling methodology based on Performance Monitoring Counters (PMCs) is used to build and evaluate the integrated model in gem5. We first validate this methodology on the real hardware with 60 workloads at nine Dynamic Voltage and Frequency Scaling (DVFS) levels and four core mappings (2,160 samples), showing an average error between estimated and real measured power of less than 6%. Correlation between gem5 activity statistics and hardware PMCs is investigated to build a gem5 model representing a quad-core ARM Cortex-A15. Experimental validation with 15 workloads at four DVFS levels on real hardware and gem5 has been conducted to understand how the difference between the gem5 simulated activity statistics and the hardware PMCs affects the estimated power consumption.

Text
Patmos-Camera-Ready - Other
Available under License Creative Commons Attribution.
Download (1MB)

More information

e-pub ahead of print date: September 2017
Published date: 16 November 2017

Identifiers

Local EPrints ID: 412825
URI: http://eprints.soton.ac.uk/id/eprint/412825
PURE UUID: dbc0737d-24e8-4e4d-b8ba-04e338fd3751
ORCID for Karunakar Reddy Basireddy: ORCID iD orcid.org/0000-0001-9755-1041
ORCID for Matthew Walker: ORCID iD orcid.org/0000-0001-6368-3644
ORCID for Geoffrey Merrett: ORCID iD orcid.org/0000-0003-4980-3894

Catalogue record

Date deposited: 02 Aug 2017 16:30
Last modified: 16 Mar 2024 03:46

Export record

Altmetrics

Contributors

Author: Karunakar Reddy Basireddy ORCID iD
Author: Matthew Walker ORCID iD
Author: Domenico Balsamo
Author: Stephan Diestelhorst
Author: Bashir Al-Hashimi
Author: Geoffrey Merrett ORCID iD

Download statistics

Downloads from ePrints over the past year. Other digital versions may also be available to download e.g. from the publisher's website.

View more statistics

Atom RSS 1.0 RSS 2.0

Contact ePrints Soton: eprints@soton.ac.uk

ePrints Soton supports OAI 2.0 with a base URL of http://eprints.soton.ac.uk/cgi/oai2

This repository has been built using EPrints software, developed at the University of Southampton, but available to everyone to use.

We use cookies to ensure that we give you the best experience on our website. If you continue without changing your settings, we will assume that you are happy to receive cookies on the University of Southampton website.

×