The University of Southampton
University of Southampton Institutional Repository

A lifetime reliability-constrained runtime mapping for throughput optimization in many-core systems

A lifetime reliability-constrained runtime mapping for throughput optimization in many-core systems
A lifetime reliability-constrained runtime mapping for throughput optimization in many-core systems

Due to technology scaling, lifetime reliability is becoming one of the major design constraints in the performance optimization of future many-core systems. Given a lifetime reliability constraint, the existing lifetime-constrained runtime mapping schemes often lead to low throughput because of the requirement to map all applications to compact regions. In this paper, we propose a runtime application mapping scheme, LBRM, that exploits a borrowing strategy to improve the throughput of many-core systems given a lifetime constraint. First, we propose using different strategies for mapping communication-intensive applications and computation-intensive applications. The lifetime reliability constraint can be relaxed in the local time scale when the communication requirement is high. The throughput is improved because the communication distance of communication-intensive applications is optimized while the waiting time of computation-intensive application is reduced. Then, we propose a method to effectively classify applications depending on the communication-to-computation ratio. A dynamic threshold is determined according to the current locations of available cores. Finally, we propose an improved neighborhood allocation scheme to reduce the communication cost in the task mapping. The experimental results show that compared to the state-of-the-art lifetime-constrained mapping, the proposed mapping scheme improves the throughput of many-core systems by 26% on average for synthetic task graphs and by 20% on average for realistic task graphs while the lifetime reliability is maintained within a constraint.

Lifetime reliability, many-core, runtime mapping, throughput.
0278-0070
Wang, Liang
09b9a7f4-7732-43cb-aec0-ab671d309f93
Lv, Ping
16fbbe92-846a-4526-b7d9-5a5ccf890331
Liu, Leibo
08911140-6dbb-4ee9-a16b-d73b017d72ce
Han, Jie
d51b0c6f-7a55-4bd8-9af4-deb917b60193
Leung, Ho Fung
1a8a18ad-f6a8-4516-850e-3909d6df2ab9
Wang, Xiaohang
95ffd2f0-3e1f-4cbe-8067-b600d6a08f75
Yin, Shouyi
f0caf27f-d112-4ef2-8bc9-a1fefeb4d452
Wei, Shaojun
c4b00f83-f28f-4b36-accb-f75f64c42d18
Mak, Terrence
0f90ac88-f035-4f92-a62a-7eb92406ea53
Wang, Liang
09b9a7f4-7732-43cb-aec0-ab671d309f93
Lv, Ping
16fbbe92-846a-4526-b7d9-5a5ccf890331
Liu, Leibo
08911140-6dbb-4ee9-a16b-d73b017d72ce
Han, Jie
d51b0c6f-7a55-4bd8-9af4-deb917b60193
Leung, Ho Fung
1a8a18ad-f6a8-4516-850e-3909d6df2ab9
Wang, Xiaohang
95ffd2f0-3e1f-4cbe-8067-b600d6a08f75
Yin, Shouyi
f0caf27f-d112-4ef2-8bc9-a1fefeb4d452
Wei, Shaojun
c4b00f83-f28f-4b36-accb-f75f64c42d18
Mak, Terrence
0f90ac88-f035-4f92-a62a-7eb92406ea53

Wang, Liang, Lv, Ping, Liu, Leibo, Han, Jie, Leung, Ho Fung, Wang, Xiaohang, Yin, Shouyi, Wei, Shaojun and Mak, Terrence (2018) A lifetime reliability-constrained runtime mapping for throughput optimization in many-core systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2018.2855168).

Record type: Article

Abstract

Due to technology scaling, lifetime reliability is becoming one of the major design constraints in the performance optimization of future many-core systems. Given a lifetime reliability constraint, the existing lifetime-constrained runtime mapping schemes often lead to low throughput because of the requirement to map all applications to compact regions. In this paper, we propose a runtime application mapping scheme, LBRM, that exploits a borrowing strategy to improve the throughput of many-core systems given a lifetime constraint. First, we propose using different strategies for mapping communication-intensive applications and computation-intensive applications. The lifetime reliability constraint can be relaxed in the local time scale when the communication requirement is high. The throughput is improved because the communication distance of communication-intensive applications is optimized while the waiting time of computation-intensive application is reduced. Then, we propose a method to effectively classify applications depending on the communication-to-computation ratio. A dynamic threshold is determined according to the current locations of available cores. Finally, we propose an improved neighborhood allocation scheme to reduce the communication cost in the task mapping. The experimental results show that compared to the state-of-the-art lifetime-constrained mapping, the proposed mapping scheme improves the throughput of many-core systems by 26% on average for synthetic task graphs and by 20% on average for realistic task graphs while the lifetime reliability is maintained within a constraint.

This record has no associated files available for download.

More information

Accepted/In Press date: 11 July 2018
e-pub ahead of print date: 12 July 2018
Keywords: Lifetime reliability, many-core, runtime mapping, throughput.

Identifiers

Local EPrints ID: 425331
URI: http://eprints.soton.ac.uk/id/eprint/425331
ISSN: 0278-0070
PURE UUID: fa0b92d3-0eac-4750-832d-88176e7b035b

Catalogue record

Date deposited: 12 Oct 2018 16:30
Last modified: 15 Mar 2024 21:11

Export record

Altmetrics

Contributors

Author: Liang Wang
Author: Ping Lv
Author: Leibo Liu
Author: Jie Han
Author: Ho Fung Leung
Author: Xiaohang Wang
Author: Shouyi Yin
Author: Shaojun Wei
Author: Terrence Mak

Download statistics

Downloads from ePrints over the past year. Other digital versions may also be available to download e.g. from the publisher's website.

View more statistics

Atom RSS 1.0 RSS 2.0

Contact ePrints Soton: eprints@soton.ac.uk

ePrints Soton supports OAI 2.0 with a base URL of http://eprints.soton.ac.uk/cgi/oai2

This repository has been built using EPrints software, developed at the University of Southampton, but available to everyone to use.

We use cookies to ensure that we give you the best experience on our website. If you continue without changing your settings, we will assume that you are happy to receive cookies on the University of Southampton website.

×